CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 交通灯

搜索资源列表

  1. msttr

    0下载:
  2. msttr是用vhdl语言开发的一个交通灯程序-msttr VHDL language is a development of the traffic lights procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4761
    • 提供者:王剑
  1. The-traffic-light-controller-VHDL

    0下载:
  2. 基于VHDL的交通灯控制器设计,红灯45秒,黄灯5秒,绿灯40秒,运用状态机原理-The traffic light controller design based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:104495
    • 提供者:林立强
  1. VHDL-traffic-light

    0下载:
  2. 本科做的课程设计 用vhdl 语言 设置交通灯的闪烁-use vhdl to set traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:233525
    • 提供者:沈志鑫
  1. Subway-automatic-ticket-system-VHDL

    0下载:
  2. VHDL的课程设计,包括地铁自动售票以及交通灯等设计-VHDL curriculum design, including a subway ticket and traffic lights design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:562645
    • 提供者:陈鹏
  1. vhdl

    0下载:
  2. 交通灯的vhdl实现,实现完全交通等功能,可供调试-Traffic lights to achieve the VHDL, to achieve complete traffic and other functions, can be used for debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1148409
    • 提供者:liuyang
  1. VHDL-traffic-light

    0下载:
  2. VHDL源代码以及仿真结果,全面的 交通灯 (红绿灯)系统制作介绍,并附有代码注释-VHDL source code and simulation results, a comprehensive traffic lights (red light) system is introduced, and a code Notes
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-30
    • 文件大小:115457
    • 提供者:文子
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capital being designed, the hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:265860
    • 提供者:陈金峰
  1. traffic-light-controller-VHDL

    0下载:
  2. vHDL实现 自顶向下的 交通灯控制器 -VHDL program implement for traffic light controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1018172
    • 提供者:whb
  1. jiaotongdeng

    0下载:
  2. vhdl交通灯程序,根据需要设置黄色信号灯运行的时间,根据需要设置红色及绿色信号灯运行的时间-vhdl traffic light program, according to the need to set the yellow signal run time, according to the need to set the red and green signal lights running time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:619
    • 提供者:小李
  1. VHDL(traffic-lights)

    0下载:
  2. 设计十字路口交通灯(原创设计论文)本文利用VHDL语言,在QuartusⅡ环境下,设计了两个相邻十字路口处的交通灯系统。该交通灯系统运行规律模仿实际十字路口交通灯,两处相邻十字路口交通灯是联动的,两者南北方向相差20秒。每个十字路口处有4个灯分别指示左转、直行、右转车辆以及人行道,灯也分为红色、黄色和绿色,并且配有时间显示。同时,该交通灯系统可根据实际道路情况调整通行时间。当系统出现故障不能正常显示,则全部灯变为黄灯闪烁以提醒车辆及行人注意安全。-Design crossroads traffi
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:605550
    • 提供者:
  1. vhdl

    0下载:
  2. vhdl状态机设计,文件简单详细易懂,可以使用在交通灯,文件配置等系统上。-vhdl state machine design, simple, detailed and easy to understand, you can use the traffic light system file configuration file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:4625
    • 提供者:张博天
  1. VHDL--traffic-light-reports-and-code

    0下载:
  2. 用VHDL实现交通灯项目,并用FPGA验证!-The traffic light project using VHDL and FPGA verification!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1811807
    • 提供者:一个好人
  1. vhdl--of--traffic-light

    0下载:
  2. 十字路口的交通灯vhdl控制程序,其中包括分频器、交通灯控制器和主程序三部分。-Crossroads of traffic lights the vhdl control procedures, including the three parts of the divider, traffic light controller and main program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:5275
    • 提供者:仝侨
  1. VHDL

    0下载:
  2. 基于VHDL语言的交通灯设计:通过状态机设计实现交通灯的红黄绿三种灯显示.其功能包括:红绿黄灯显示,倒计时功能,测试功能,手动控制功能.-Based on VHDL design of traffic lights: red, yellow, and green traffic lights, three lights through the state machine design features include: red, green, yellow, countdown function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:820323
    • 提供者:小雪
  1. EDA

    0下载:
  2. VHDL 交通灯 奇偶校验器 编码器,教学所用-VHDL traffic lights parity encoder, teaching
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:2794
    • 提供者:乔玛丽
  1. VHDL-traffic-light

    0下载:
  2. 交通信号控制器VHDL设计 1、设计一个南北方向为主干道,东西方向为支干道的; 2、选择一个标准时钟发生电路,为电路提供一个标准1HZ信号; 3、(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒; -Traffic signal controller VHDL design
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:188210
    • 提供者:飞羽
  1. VHDL-

    0下载:
  2. VHDL语言 有限状态机交通灯的设计 分频器模块的设计-Finite state machine design language VHDL design of traffic lights divider module
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6457
    • 提供者:赵小川
  1. vhdl

    0下载:
  2. 本文件夹包含了四个代码分别为十进制,六进制,六十进制和交通灯控制器的vhdl源码实现-This folder contains the four codes are decimal, hex, decimal, and six traffic light controller vhdl source implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2197
    • 提供者:xiangyang
  1. traffic-light-vhdl-Quartus-II6.0

    0下载:
  2. 简单的交通灯vhdl程序 Quartus II6.0下的程序 包含图形仿真-easy traffic light vhdl Quartus II6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:208133
    • 提供者:睿宸
  1. TRAFFIC-LIGHTS-VHDL

    0下载:
  2. 一种基于VHDL语言的简单的交通灯实现方法。带仿真结果-traffic lights
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:478419
    • 提供者:fangying
« 1 2 3 4 5 67 8 9 10 11 ... 18 »
搜珍网 www.dssz.com